DAC papers

From: <paulf@eos.ncsu.edu>
Date: Fri Sep 23 1994 - 06:25:00 PDT

Sorry, it is difficult for me to `attend' IBIS meetings due
other commitments.

I note in the minutes:

=============
1995 Design Automation Conference
No one will be writing papers. Bob Ross suggested ICCAD `95.
=============

Thanks for trying to contribute to an SI session at DAC. I
appreciate your efforts. Please let me know if you end
up submitting a paper, so I can still try to organize
a session if possible. Right now, I feel the papers that
are being submitted are scattered too `widely' to make an SI
session (except as a stretch).

Just some comments on ICCAD if I may:
- few designers attend ICCAD. CAD industry attendance is
  surprisingly poor too.
- the acceptance rate is about the same (and in fact will be
  worse than DAC for more `practical' papers).

I think ICCAD is an excellent technical conference. However,
depending on your aims for presenting a paper, you might want to
hold out for DAC '96.

Next year, we hope to submit a `IBIS validation' paper somewhere
(`real-model' Spice vs. IBIS vs. measured results).

Regards,

Paul Franzon
Received on Fri Sep 23 06:28:48 1994

This archive was generated by hypermail 2.1.8 : Fri Jun 03 2011 - 09:52:28 PDT