Re: [IBIS] RE: [IBIS-Users] IBISCHK4 parser updated; IBIS 4.2 now an ANSI specification

From: Bob Ross <bob_at_.....>
Date: Thu Apr 26 2007 - 09:28:15 PDT
Hi Radovan:

Thank you for sending me your file.

I am just letting the list know that I believe you did
uncover a bug in ibischk4 version 4.2.1 regarding issuing
some false Warning messages.

We will look into this and process it.  The problem does
not with [Receiver Thresholds] as speculated below, but it
might have inadvertently been caused by code changes to
deal with the issues below.

Briefly, false Warning messages are issued for each
pin assigned to a model or model selector name if one
instance of that name is configured in a differential
mode with [Diff Pin].  The Warning is wrong since not
all buffers need to be connected differentially.  Earlier
ibischk releases allow this and are correct.

Thank you for uncovering and reporting this.

Bob

Bob Ross wrote:
> Hi Radovan:
> 
> I would have to see the original IBIS file to give you a precise
> answer.
> 
> The earlier verions 4.2.0 and below actually had bugs because it
> failed to detect certain cases where threshold subparameters
> under [Receiver Thresholds] was needed.  They also had other
> problems where even correct information was flagged as an Error
> or Warning.  So the previous report with 0 Warnings was
> incorrect.
> 
> The report with version 4.2.1 now indicates that there is
> missing information.
> 
> Note, when the correct information is put into the model, you
> will probably get Warning or Error messages with version 4.2.0
> and lower.  These messages are incorrect.
> 
> The reason is that we did not take into account all combinations
> with single-ended and differential specifications that could
> occur using [Diff Pin] and [Model Selector].  The complicating
> factor is that a model could be configured independently
> as a single-ended buffer or used in a differential buffer.
> 
> I believe the Warnings now indicate that you are using one of
> the buffers in a differential configuration through [Model Selector],
> and the selected [Model] does not have the differential
> subparameters under [Receiver Thresholds].
> 
> Bob
> 
> Radovan.Vuletic@qimonda.com wrote:
> 
>> Hi experts,
>>
>> is it possible that there is a bug in this latest IBISCHK4 (v4.2.1) 
>> version?
>> I am checking one IBIS model and then I get a whole bunch of warnings 
>> that I can't explain, like:
>> "WARNING - Pin B1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential"
>>
>> When is one pin considered to be differential? I assume only in [Diff 
>> Pin] section, but now it seems that it is looking for something else 
>> .... and I don't know what ....
>>
>> With old version of IBISCHK4 (v4.1.1) I get no such funny warnings.
>>
>> For your convenience I have attached the outputs of both spelling 
>> checkers (parsers).
>>
>> Regards,
>> Radovan
>>
>>
>> ============================================================================== 
>>
>> Qimonda AG
>> Chairman of the Supervisory Board/ Aufsichtsratsvorsitzender: Peter J. 
>> Fischl
>> Management Board/ Vorstand: Kin Wah Loh (Chairman/ Vorsitzender), Dr. 
>> Michael Majerus, Thomas J. Seifert
>> Register Court/ Registergericht: München HRB 152545, Seat/ Sitz: München
>> ============================================================================== 
>>
>>
>>
>>
>>
>> -----Original Message-----
>> From: owner-ibis-users@server.eda.org 
>> [mailto:owner-ibis-users@server.eda.org] On Behalf Of Mirmak, Michael
>> Sent: Sunday, April 22, 2007 7:53 AM
>> To: ibis@server.eda-stds.org; ibis-users@server.eda-stds.org
>> Subject: [IBIS-Users] IBISCHK4 parser updated; IBIS 4.2 now an ANSI 
>> specification
>>
>>
>> The IBIS Open Forum is pleased to announce that the IBISCHK4 parser, 
>> version 4.2.1, is on-line and available for download!  Compiled 
>> executables for Linux (SuSE), Microsoft DOS/Windows and Sun operating 
>> systems can be found at:    http://www.eda.org/pub/ibis/ibischk4/
>>   Additional compilations will be posted as they become available.  
>> Parser license purchasers will receive the source code shortly.
>>
>> Note that this version addresses all known parser bugs and implements 
>> the new "Caution" flag.
>>   Parser issues may be reported using the "bugform.txt" template at:
>>  http://www.eda.org/pub/ibis/bugs/ibis_bugs/
>>
>> Please send completed issue reports to ibis-bug@eda.org.
>> In addition, we have received confirmation that, with the close of the 
>> comment period, IBIS 4.2 is now an official ANSI specification, as of 
>> March 9, 2007!  Its official designation is ANSI/EIA-656-B.
>>
>> Thanks to our contributors, members and users for their support!
>>
>> - Michael Mirmak
>>   Intel Corp.   Chair, EIA IBIS Open Forum 
>> -- 
>> This message has been scanned for viruses and dangerous content by 
>> MailScanner, and is believed to be clean.
>>
>>
>> --------------------------------------------------------------------
>> |For help or to subscribe/unsubscribe, e-mail majordomo@eda-stds.org
>> |with the appropriate command message(s) in the body:
>> |
>> |  help
>> |  subscribe   ibis       <optional e-mail address, if different>
>> |  subscribe   ibis-users <optional e-mail address, if different>
>> |  unsubscribe ibis       <optional e-mail address, if different>
>> |  unsubscribe ibis-users <optional e-mail address, if different>
>> |
>> |or e-mail a request to ibis-request@eda-stds.org.
>> |
>> |IBIS reflector archives exist under:
>> |
>> |  http://www.eda-stds.org/pub/ibis/email_archive/ Recent
>> |  http://www.eda-stds.org/pub/ibis/users_archive/ Recent
>> |  http://www.eda-stds.org/pub/ibis/email/         E-mail since 1993
>>
>>
>>
>> ------------------------------------------------------------------------
>>
>> IBISCHK4 V4.2.1
>>
>> Checking hyb18t512xx0bf_rev18.ibs for IBIS 3.2 Compatibility...
>>
>> NOTE - Pulldown for Model: INOUT_WEAK Typical data is non-monotonic
>> NOTE - Pullup for Model: INOUT_WEAK Typical data is non-monotonic
>> NOTE - Pulldown for Model: INOUT_WEAK Minimum data is non-monotonic
>> NOTE - Pullup for Model: INOUT_WEAK Minimum data is non-monotonic
>> NOTE - Pulldown for Model: INOUT_WEAK Maximum data is non-monotonic
>> NOTE - Pullup for Model: INOUT_WEAK Maximum data is non-monotonic
>> NOTE - Pulldown for Model: INOUT_FULL Typical data is non-monotonic
>> NOTE - Pullup for Model: INOUT_FULL Typical data is non-monotonic
>> NOTE - Pulldown for Model: INOUT_FULL Minimum data is non-monotonic
>> NOTE - Pullup for Model: INOUT_FULL Minimum data is non-monotonic
>> NOTE - Pulldown for Model: INOUT_FULL Maximum data is non-monotonic
>> NOTE - Pullup for Model: INOUT_FULL Maximum data is non-monotonic
>> WARNING - Pin B1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin B3 is not defined as a Diff Pin though the associated 
>> Model Selector DM was determined to be Differential
>> WARNING - Pin B9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin C2 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin C8 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D3 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D7 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin F1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin F3 is not defined as a Diff Pin though the associated 
>> Model Selector DM was determined to be Differential
>> WARNING - Pin F9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin G2 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin G8 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin H1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin H3 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin H7 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin H9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin B1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin B3 is not defined as a Diff Pin though the associated 
>> Model Selector DM was determined to be Differential
>> WARNING - Pin B9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin C2 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin C8 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D1 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D3 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D7 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D9 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin B3 is not defined as a Diff Pin though the associated 
>> Model Selector DM was determined to be Differential
>> WARNING - Pin C2 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin C8 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D3 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Pin D7 is not defined as a Diff Pin though the associated 
>> Model Selector INOUT was determined to be Differential
>> WARNING - Model INPUT_TEST_CK not referenced in any [Pin], [Model 
>> Selector], [Driver Schedule] or [Series Pin Mapping] sections.
>>  No more warnings will be generated for un-referenced models
>>
>> Errors  : 0
>> Warnings: 33
>>
>> File Passed
>>
>>
>> ------------------------------------------------------------------------
>>
>> IBISCHK4 V4.1.1
>>
>> Checking hyb18t512xx0bf_rev18.ibs for IBIS 3.2 Compatibility...
>>
>> WARNING (line  921) - Pulldown Maximum data is non-monotonic
>> WARNING (line  922) - Pulldown Typical data is non-monotonic
>> WARNING (line  924) - Pulldown Minimum data is non-monotonic
>> WARNING (line  983) - Pullup Maximum data is non-monotonic
>> WARNING (line  984) - Pullup Typical data is non-monotonic
>> WARNING (line  985) - Pullup Minimum data is non-monotonic
>> WARNING (line 2451) - Pulldown Maximum data is non-monotonic
>> WARNING (line 2452) - Pulldown Typical data is non-monotonic
>> WARNING (line 2454) - Pulldown Minimum data is non-monotonic
>> WARNING (line 2513) - Pullup Maximum data is non-monotonic
>> WARNING (line 2514) - Pullup Typical data is non-monotonic
>> WARNING (line 2515) - Pullup Minimum data is non-monotonic
>> WARNING - Model INPUT_TEST_CK not referenced in any [Pin], [Model 
>> Selector], [Driver Schedule] or [Series Pin Mapping] sections.
>>  No more warnings will be generated for un-referenced models
>>
>> Errors  : 0
>> Warnings: 13
>>
>> File Passed
> 
> 
> 


-- 
Bob Ross
Teraspeed Consulting Group LLC     Teraspeed Labs
121 North River Drive              13610 SW Harness Lane
Narragansett, RI 02882             Beaverton, OR 97008
401-284-1827                       503-430-1065
http://www.teraspeed.com           503-246-8048 Direct
bob@teraspeed.com

Teraspeed is a registered service mark of Teraspeed Consulting Group LLC


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.

--------------------------------------------------------------------
|For help or to subscribe/unsubscribe, e-mail majordomo@eda-stds.org
|with the appropriate command message(s) in the body:
|
|  help
|  subscribe   ibis       <optional e-mail address, if different>
|  subscribe   ibis-users <optional e-mail address, if different>
|  unsubscribe ibis       <optional e-mail address, if different>
|  unsubscribe ibis-users <optional e-mail address, if different>
|
|or e-mail a request to ibis-request@eda-stds.org.
|
|IBIS reflector archives exist under:
|
|  http://www.eda-stds.org/pub/ibis/email_archive/ Recent
|  http://www.eda-stds.org/pub/ibis/users_archive/ Recent
|  http://www.eda-stds.org/pub/ibis/email/         E-mail since 1993
Received on Thu Apr 26 09:28:30 2007

This archive was generated by hypermail 2.1.8 : Thu Apr 26 2007 - 09:30:05 PDT