RE: [IBIS] Regarding Analog Buffer modelling

From: Muniswara Reddy Vorugu <Muniswarareddy.Vorugu_at_.....>
Date: Thu Mar 12 2009 - 07:51:10 PDT
Hi Gaurav,

Yes, IBIS specifications support modeling of analog or LVDS buffers.

 

For more information, you can refer to following links 

http://www.national.com/appinfo/lvds/files/wp_ibis_modeling.pdf

http://www.national.com/appinfo/lvds/files/wp_internal_term.pdf

 

There are some presentation in IBIS forum also.

http://www.vhdl.org/pub/ibis/summits/index-bydate.htm

 

Some LVDS models on WEB.

http://www.national.com/analog/interface/models_interface#ibis

 

 

Thanks,

Muniswar

 

 

From: owner-ibis@eda.org [mailto:owner-ibis@eda.org] On Behalf Of gaurav
Singh
Sent: Thursday, March 12, 2009 7:39 PM
To: ibis@eda.org
Subject: [IBIS] Regarding Analog Buffer modelling

 

All,

 

Can IBIS specifications support modelling of analog or LVDS buffers?

If not, is there a parallel modelling specification for these kinds of
buffers?

 

Thanks,

Gaurav


-- 
This message has been scanned for viruses and 
dangerous content by MailScanner <http://www.mailscanner.info/> , and is

believed to be clean. 


-- 
This message has been scanned for viruses and
dangerous content by MailScanner, and is
believed to be clean.


--------------------------------------------------------------------
|For help or to subscribe/unsubscribe, e-mail majordomo@eda-stds.org
|with the appropriate command message(s) in the body:
|
|  help
|  subscribe   ibis       <optional e-mail address, if different>
|  subscribe   ibis-users <optional e-mail address, if different>
|  unsubscribe ibis       <optional e-mail address, if different>
|  unsubscribe ibis-users <optional e-mail address, if different>
|
|or e-mail a request to ibis-request@eda-stds.org.
|
|IBIS reflector archives exist under:
|
|  http://www.eda-stds.org/pub/ibis/email_archive/ Recent
|  http://www.eda-stds.org/pub/ibis/users_archive/ Recent
|  http://www.eda-stds.org/pub/ibis/email/         E-mail since 1993
Received on Thu Mar 12 07:52:05 2009

This archive was generated by hypermail 2.1.8 : Thu Mar 12 2009 - 07:52:27 PDT