[IBIS-Users] Pullup/Pulldown current faliure

From: Guoli Wang <guoli.wang@microchip.com>
Date: Thu Mar 18 2004 - 09:54:50 PST

Hi ALL,

I am having problems generating IBIS model for a 5v tri-state pad.
Please help to see if I've missed or mis-used something..

I sweep the output from -5v ~ 10v for both PULLUP and PULLDOWN. But got
current of 0 from -5v to 5v, and from 5v up tp 10v it gives some value
to the current. Here I have attached the config files and created IBIS
model.

spice.lib --spice model
sta.net----netlist
s2i------ config file
s2i.ibis---IBIS model

Thanks for the help.

Guoli

Alok Rungta wrote:

> Arpad,
> I indeed had connected resistence to Gnd and when I resimulated with
> resistance connected to Vcc it is the rise waveform that is different
> which is consistent with your explanation.
>
>
> What is not clear to me is when simulator is trying to find response
> for a new load(which may be diff. from ref. load) how is the "new
> waveform"
> ,that you mentioned, created (i.e. the circuit used to calculate it)
> and how is it used
> when calculating for the required load.
>
> I have seen docs where ccomp is shown connected to Gnd. and if
> load is also connected to Gnd what kind of circuit are we looking at
> while
> creating the new waveform and using it further to find the waveform at
> the
> different loads.
>
>
>
> Thanks & Rgds
> Alok
>
>

|************************************************************************
| IBIS file s2i.ibs created by s2ibis2 version 1.1
| North Carolina State University Electronics Research Laboratory 1995
|************************************************************************
|
[IBIS ver] 2.1
[File name] s2i.ibs
[File Rev] 0
[Date] June 13, 2003
|
|************************************************************************
| Component 25LC040T-I/SN
|************************************************************************
|
[Component] 25LC040T-I/SN
[Manufacturer] MICROCHIP TECHNOLOGY Inc.
[Package]
| variable typ min max
R_pkg 56.60m 52.00m 62.60m
L_pkg 2.40nH 1.80nH 3.12nH
C_pkg 0.55pF 0.47pF 0.68pF
|
[Pin] signal_name model_name R_pin L_pin C_pin
1 ncs_pad input
2 do_pad tristate_driver
| data data dummy
| ena enable dummy
3 nwp_pad input
4 gnd GND
5 di_pad input
6 clk_pad input
7 nhold_pad input
8 vdd POWER
|
|************************************************************************
| Model tristate_driver
|************************************************************************
|
[Model] tristate_driver
Model_type 3-state
Polarity Non-Inverting
Enable Active-High
C_comp 5.00pF 5.00pF 5.00pF
|
|
[Temperature Range] 25.00 0.12k -40.00
[Voltage Range] 5.00V 3.00V 5.60V
[Pulldown]
| voltage I(typ) I(min) I(max)
|
  -5.00 0.000A 0.000A 0.000A
  -4.60 0.000A 0.000A 0.000A
  -4.20 0.000A 0.000A 0.000A
  -3.80 0.000A 0.000A 0.000A
  -3.40 0.000A 0.000A 0.000A
  -3.00 0.000A 0.000A 0.000A
  -2.60 0.000A 0.000A 0.000A
  -2.20 0.000A 0.000A 0.000A
  -1.80 0.000A 0.000A 0.000A
  -1.40 0.000A 0.000A 0.000A
  -1.00 0.000A 0.000A 0.000A
  -0.90 0.000A 0.000A 0.000A
  -0.80 0.000A 0.000A 0.000A
  -0.70 0.000A 0.000A 0.000A
  -0.60 0.000A 0.000A 0.000A
  -0.50 0.000A 0.000A 0.000A
  -0.40 0.000A 0.000A 0.000A
  -0.30 0.000A 0.000A 0.000A
  -0.20 0.000A 0.000A 0.000A
  -0.10 0.000A 0.000A 0.000A
   0.00 0.000A 0.000A 0.000A
   0.10 0.000A 0.000A 0.000A
   0.20 0.000A 0.000A 0.000A
   0.30 0.000A 0.000A 0.000A
   0.40 0.000A 0.000A 0.000A
   0.50 0.000A 0.000A 0.000A
   0.60 0.000A 0.000A 0.000A
   0.70 0.000A 0.000A 0.000A
   0.80 0.000A 0.000A 0.000A
   0.90 0.000A 0.000A 0.000A
   1.00 0.000A 0.000A 0.000A
   1.10 0.000A 0.000A 0.000A
   1.20 0.000A 0.000A 0.000A
   1.30 0.000A 0.000A 0.000A
   1.40 0.000A 0.000A 0.000A
   1.50 0.000A 0.000A 0.000A
   1.60 0.000A 0.000A 0.000A
   1.70 0.000A 0.000A 0.000A
   1.80 0.000A 0.000A 0.000A
   1.90 0.000A 0.000A 0.000A
   2.00 0.000A 0.000A 0.000A
   2.10 0.000A 0.000A 0.000A
   2.20 0.000A 0.000A 0.000A
   2.30 0.000A 0.000A 0.000A
   2.40 0.000A 0.000A 0.000A
   2.50 0.000A 0.000A 0.000A
   2.60 0.000A 0.000A 0.000A
   2.70 0.000A 0.000A 0.000A
   2.80 0.000A 0.000A 0.000A
   2.90 0.000A 0.000A 0.000A
   3.00 0.000A 0.000A 0.000A
   3.10 0.000A 0.000A 0.000A
   3.20 0.000A 0.000A 0.000A
   3.30 0.000A 0.000A 0.000A
   3.40 0.000A 0.000A 0.000A
   3.50 0.000A 0.000A 0.000A
   3.60 0.000A 0.000A 0.000A
   3.70 0.000A 0.000A 0.000A
   3.80 0.000A 0.000A 0.000A
   3.90 0.000A 0.000A 0.000A
   4.00 0.000A 0.000A 0.000A
   4.10 0.000A 0.000A 0.000A
   4.20 0.000A 0.000A 0.000A
   4.30 0.000A 0.000A 0.000A
   4.40 0.000A 0.000A 0.000A
   4.50 0.000A 0.000A 0.000A
   4.60 0.000A 0.000A 0.000A
   4.70 0.000A 0.000A 0.000A
   4.80 0.000A 0.000A 0.000A
   4.90 0.000A 0.000A 0.000A
   5.00 0.000A 0.000A 0.000A
   5.10 0.14mA 1.45mA -0.93mA
   5.20 0.27mA 1.52mA -0.75mA
   5.30 0.41mA 1.59mA -0.57mA
   5.40 0.54mA 1.67mA -0.38mA
   5.50 0.68mA 1.74mA -0.19mA
   5.60 0.82mA 1.82mA 34.62pA
   5.70 0.95mA 1.89mA 0.20mA
   5.80 1.09mA 1.97mA 0.39mA
   5.90 1.23mA 2.04mA 0.59mA
   6.00 1.36mA 2.12mA 0.79mA
   6.40 1.91mA 2.42mA 1.58mA
   6.80 2.45mA 2.73mA 2.36mA
   7.20 3.00mA 3.05mA 3.14mA
   7.60 3.54mA 3.36mA 3.92mA
   8.00 4.08mA 3.68mA 4.69mA
   8.40 4.63mA 4.00mA 5.46mA
   8.80 5.17mA 4.32mA 6.23mA
   9.20 5.72mA 4.64mA 7.00mA
   9.60 6.26mA 4.97mA 7.76mA
  10.00 6.80mA 5.30mA 8.53mA
|
[Pullup]
| voltage I(typ) I(min) I(max)
|
  -5.00 0.000A 0.000A 0.000A
  -4.60 0.000A 0.000A 0.000A
  -4.20 0.000A 0.000A 0.000A
  -3.80 0.000A 0.000A 0.000A
  -3.40 0.000A 0.000A 0.000A
  -3.00 0.000A 0.000A 0.000A
  -2.60 0.000A 0.000A 0.000A
  -2.20 0.000A 0.000A 0.000A
  -1.80 0.000A 0.000A 0.000A
  -1.40 0.000A 0.000A 0.000A
  -1.00 0.000A 0.000A 0.000A
  -0.90 0.000A 0.000A 0.000A
  -0.80 0.000A 0.000A 0.000A
  -0.70 0.000A 0.000A 0.000A
  -0.60 0.000A 0.000A 0.000A
  -0.50 0.000A 0.000A 0.000A
  -0.40 0.000A 0.000A 0.000A
  -0.30 0.000A 0.000A 0.000A
  -0.20 0.000A 0.000A 0.000A
  -0.10 0.000A 0.000A 0.000A
   0.00 0.000A 0.000A 0.000A
   0.10 -0.13mA -61.14uA -0.19mA
   0.20 -0.26mA -0.12mA -0.38mA
   0.30 -0.39mA -0.17mA -0.57mA
   0.40 -0.51mA -0.22mA -0.75mA
   0.50 -0.63mA -0.27mA -0.93mA
   0.60 -0.75mA -0.32mA -1.10mA
   0.70 -0.86mA -0.36mA -1.27mA
   0.80 -0.97mA -0.40mA -1.44mA
   0.90 -1.08mA -0.43mA -1.59mA
   1.00 -1.18mA -0.46mA -1.75mA
   1.10 -1.27mA -0.49mA -1.90mA
   1.20 -1.37mA -0.51mA -2.04mA
   1.30 -1.46mA -0.53mA -2.18mA
   1.40 -1.55mA -0.55mA -2.32mA
   1.50 -1.63mA -0.56mA -2.45mA
   1.60 -1.71mA -0.58mA -2.58mA
   1.70 -1.78mA -0.58mA -2.70mA
   1.80 -1.85mA -0.59mA -2.82mA
   1.90 -1.92mA -0.59mA -2.93mA
   2.00 -1.99mA -0.59mA -3.04mA
   2.10 -2.05mA -0.59mA -3.14mA
   2.20 -2.10mA -0.59mA -3.24mA
   2.30 -2.16mA -0.59mA -3.33mA
   2.40 -2.21mA -0.59mA -3.42mA
   2.50 -2.25mA -0.59mA -3.51mA
   2.60 -2.30mA -0.59mA -3.59mA
   2.70 -2.33mA -0.60mA -3.66mA
   2.80 -2.37mA -0.60mA -3.73mA
   2.90 -2.40mA -0.60mA -3.80mA
   3.00 -2.43mA -0.60mA -3.86mA
   3.10 -2.45mA -0.60mA -3.92mA
   3.20 -2.47mA -0.60mA -3.97mA
   3.30 -2.49mA -0.60mA -4.02mA
   3.40 -2.50mA -0.60mA -4.06mA
   3.50 -2.51mA -0.60mA -4.10mA
   3.60 -2.52mA -0.61mA -4.13mA
   3.70 -2.52mA -0.62mA -4.16mA
   3.80 -2.52mA -0.65mA -4.19mA
   3.90 -2.52mA -0.78mA -4.21mA
   4.00 -2.52mA -2.18mA -4.22mA
   4.10 -2.53mA -7.59mA -4.23mA
   4.20 -2.53mA -15.91mA -4.24mA
   4.30 -2.53mA -25.42mA -4.24mA
   4.40 -2.53mA -35.49mA -4.24mA
   4.50 -2.53mA -45.89mA -4.25mA
   4.60 -2.54mA -56.48mA -4.25mA
   4.70 -2.54mA -67.21mA -4.25mA
   4.80 -2.54mA -78.04mA -4.25mA
   4.90 -2.54mA -88.94mA -4.26mA
   5.00 -2.54mA -99.90mA -4.26mA
   5.10 -2.54mA -0.11A -4.26mA
   5.20 -2.55mA -0.12A -4.26mA
   5.30 -2.55mA -0.13A -4.27mA
   5.40 -2.55mA -0.14A -4.27mA
   5.50 -2.55mA -0.16A -4.27mA
   5.60 -2.56mA -0.17A -4.28mA
   5.70 -2.58mA -0.18A -4.28mA
   5.80 -2.62mA -0.19A -4.28mA
   5.90 -2.68mA -0.20A -4.28mA
   6.00 -2.86mA -0.21A -4.29mA
   6.40 -36.00mA -0.26A -4.40mA
   6.80 -84.99mA -0.30A -12.94mA
   7.20 -0.14A -0.35A -64.43mA
   7.60 -0.19A -0.39A -0.12A
   8.00 -0.24A -0.44A -0.18A
   8.40 -0.29A -0.48A -0.23A
   8.80 -0.34A -0.53A -0.29A
   9.20 -0.39A -0.57A -0.35A
   9.60 -0.44A -0.62A -0.40A
  10.00 -0.50A -0.66A -0.46A
|
[GND_clamp]
| voltage I(typ) I(min) I(max)
|
  -5.00 -0.50A -0.44A -0.54A
  -4.80 -0.47A -0.41A -0.52A
  -4.60 -0.44A -0.39A -0.49A
  -4.40 -0.42A -0.37A -0.46A
  -4.20 -0.39A -0.35A -0.43A
  -4.00 -0.37A -0.32A -0.40A
  -3.80 -0.34A -0.30A -0.37A
  -3.60 -0.31A -0.28A -0.35A
  -3.40 -0.29A -0.26A -0.32A
  -3.20 -0.26A -0.23A -0.29A
  -3.00 -0.24A -0.21A -0.26A
  -2.80 -0.21A -0.19A -0.23A
  -2.60 -0.19A -0.17A -0.20A
  -2.40 -0.16A -0.14A -0.18A
  -2.20 -0.14A -0.12A -0.15A
  -2.00 -0.11A -99.90mA -0.12A
  -1.80 -84.99mA -78.04mA -91.94mA
  -1.60 -60.18mA -56.48mA -64.42mA
  -1.40 -36.00mA -35.50mA -37.55mA
  -1.20 -13.71mA -15.90mA -12.94mA
  -1.00 -2.86mA -2.18mA -4.61mA
  -0.80 -2.62mA -0.65mA -4.40mA
  -0.60 -2.56mA -0.61mA -4.30mA
  -0.40 -2.55mA -0.60mA -4.29mA
  -0.20 -2.55mA -0.60mA -4.28mA
   0.00 -2.54mA -0.60mA -4.28mA
   0.20 -2.54mA -0.60mA -4.27mA
   0.40 -2.54mA -0.59mA -4.26mA
   0.60 -2.53mA -0.59mA -4.26mA
   0.80 -2.53mA -0.59mA -4.25mA
   1.00 -2.52mA -0.59mA -4.25mA
   1.20 -2.52mA -0.59mA -4.24mA
   1.40 -2.52mA -0.58mA -4.24mA
   1.60 -2.50mA -0.55mA -4.22mA
   1.80 -2.47mA -0.51mA -4.19mA
   2.00 -2.43mA -0.46mA -4.13mA
   2.20 -2.37mA -0.40mA -4.06mA
   2.40 -2.30mA -0.32mA -3.97mA
   2.60 -2.21mA -0.22mA -3.86mA
   2.80 -2.10mA -0.12mA -3.73mA
   3.00 -1.99mA 1.66nA -3.59mA
   3.20 -1.85mA 0.13mA -3.42mA
   3.40 -1.71mA 0.26mA -3.24mA
   3.60 -1.55mA 0.39mA -3.04mA
   3.80 -1.37mA 0.52mA -2.82mA
   4.00 -1.18mA 0.66mA -2.58mA
   4.20 -0.97mA 0.80mA -2.32mA
   4.40 -0.75mA 0.94mA -2.04mA
   4.60 -0.51mA 1.08mA -1.75mA
   4.80 -0.26mA 1.23mA -1.44mA
   5.00 0.23nA 1.37mA -1.10mA
|
[POWER_clamp]
| voltage I(typ) I(min) I(max)
|
  -5.00 6.80mA 3.68mA 9.67mA
  -4.90 6.67mA 3.60mA 9.48mA
  -4.80 6.53mA 3.52mA 9.29mA
  -4.70 6.39mA 3.44mA 9.10mA
  -4.60 6.26mA 3.36mA 8.91mA
  -4.50 6.12mA 3.28mA 8.72mA
  -4.40 5.99mA 3.20mA 8.53mA
  -4.30 5.85mA 3.12mA 8.34mA
  -4.20 5.72mA 3.05mA 8.15mA
  -4.10 5.58mA 2.97mA 7.95mA
  -4.00 5.44mA 2.89mA 7.76mA
  -3.90 5.31mA 2.81mA 7.57mA
  -3.80 5.17mA 2.73mA 7.38mA
  -3.70 5.04mA 2.66mA 7.19mA
  -3.60 4.90mA 2.58mA 7.00mA
  -3.50 4.76mA 2.50mA 6.81mA
  -3.40 4.63mA 2.42mA 6.62mA
  -3.30 4.49mA 2.35mA 6.42mA
  -3.20 4.36mA 2.27mA 6.23mA
  -3.10 4.22mA 2.19mA 6.04mA
  -3.00 4.08mA 2.12mA 5.85mA
  -2.90 3.95mA 2.04mA 5.66mA
  -2.80 3.81mA 1.97mA 5.46mA
  -2.70 3.68mA 1.89mA 5.27mA
  -2.60 3.54mA 1.82mA 5.08mA
  -2.50 3.40mA 1.74mA 4.88mA
  -2.40 3.27mA 1.67mA 4.69mA
  -2.30 3.13mA 1.59mA 4.50mA
  -2.20 3.00mA 1.52mA 4.30mA
  -2.10 2.86mA 1.45mA 4.11mA
  -2.00 2.72mA 1.37mA 3.92mA
  -1.90 2.59mA 1.30mA 3.72mA
  -1.80 2.45mA 1.23mA 3.53mA
  -1.70 2.32mA 1.15mA 3.33mA
  -1.60 2.18mA 1.08mA 3.14mA
  -1.50 2.04mA 1.01mA 2.95mA
  -1.40 1.91mA 0.94mA 2.75mA
  -1.30 1.77mA 0.87mA 2.55mA
  -1.20 1.63mA 0.80mA 2.36mA
  -1.10 1.50mA 0.73mA 2.16mA
  -1.00 1.36mA 0.66mA 1.97mA
  -0.90 1.23mA 0.59mA 1.77mA
  -0.80 1.09mA 0.52mA 1.58mA
  -0.70 0.95mA 0.46mA 1.38mA
  -0.60 0.82mA 0.39mA 1.18mA
  -0.50 0.68mA 0.32mA 0.99mA
  -0.40 0.54mA 0.26mA 0.79mA
  -0.30 0.41mA 0.19mA 0.59mA
  -0.20 0.27mA 0.13mA 0.39mA
  -0.10 0.14mA 63.19uA 0.20mA
   0.00 0.23nA 1.66nA 33.03pA
|
[Ramp]
| variable typ min max
dV/dt_r 0.000/0.000 0.12m/-0.36p 0.000/0.000
dV/dt_f 0.000/0.000 0.000/0.000 0.000/0.000
R_load = 0.50k
|
[Rising Waveform]
R_fixture = 0.50k
V_fixture = 0.000
| time V(typ) V(min) V(max)
|
  0.000S 1.26V 0.30V 2.06V
1.000e-10S 1.26V 0.30V 2.06V
   0.20nS 1.25V 0.29V 2.05V
   0.30nS 1.25V 0.29V 2.05V
   0.40nS 1.26V 0.29V 2.06V
   0.50nS 1.26V 0.29V 2.06V
   0.60nS 1.26V 0.30V 2.06V
   0.70nS 1.26V 0.30V 2.06V
   0.80nS 1.26V 0.30V 2.06V
   0.90nS 1.26V 0.30V 2.06V
   1.00nS 1.26V 0.30V 2.06V
   1.10nS 1.26V 0.30V 2.06V
   1.20nS 1.26V 0.30V 2.06V
   1.30nS 1.26V 0.30V 2.06V
   1.40nS 1.26V 0.30V 2.06V
   1.50nS 1.26V 0.30V 2.06V
   1.60nS 1.26V 0.30V 2.06V
   1.70nS 1.26V 0.30V 2.06V
   1.80nS 1.26V 0.30V 2.06V
   1.90nS 1.26V 0.30V 2.06V
   2.00nS 1.26V 0.30V 2.06V
   2.10nS 1.26V 0.30V 2.06V
   2.20nS 1.26V 0.30V 2.06V
   2.30nS 1.26V 0.30V 2.06V
   2.40nS 1.26V 0.30V 2.06V
   2.50nS 1.26V 0.30V 2.06V
   2.60nS 1.26V 0.30V 2.06V
   2.70nS 1.26V 0.30V 2.06V
   2.80nS 1.26V 0.30V 2.06V
   2.90nS 1.26V 0.30V 2.06V
   3.00nS 1.26V 0.30V 2.06V
   3.10nS 1.26V 0.30V 2.06V
   3.20nS 1.26V 0.30V 2.06V
   3.30nS 1.26V 0.30V 2.06V
   3.40nS 1.26V 0.30V 2.06V
   3.50nS 1.26V 0.30V 2.06V
   3.60nS 1.26V 0.30V 2.06V
   3.70nS 1.26V 0.30V 2.06V
   3.80nS 1.26V 0.30V 2.06V
   3.90nS 1.26V 0.30V 2.06V
   4.00nS 1.26V 0.30V 2.06V
   4.10nS 1.26V 0.30V 2.06V
   4.20nS 1.26V 0.30V 2.06V
   4.30nS 1.26V 0.30V 2.06V
   4.40nS 1.26V 0.30V 2.06V
   4.50nS 1.26V 0.30V 2.06V
   4.60nS 1.26V 0.30V 2.06V
   4.70nS 1.26V 0.30V 2.06V
   4.80nS 1.26V 0.30V 2.06V
   4.90nS 1.26V 0.30V 2.06V
   5.00nS 1.26V 0.30V 2.06V
|
[Falling Waveform]
R_fixture = 0.50k
V_fixture = 5.00
| time V(typ) V(min) V(max)
|
  0.000S 5.00V 4.49V 5.29V
1.000e-10S 4.99V 4.47V 5.28V
   0.20nS 5.00V 4.49V 5.29V
   0.30nS 5.00V 4.49V 5.29V
   0.40nS 5.00V 4.49V 5.29V
   0.50nS 5.00V 4.49V 5.29V
   0.60nS 5.00V 4.49V 5.29V
   0.70nS 5.00V 4.49V 5.29V
   0.80nS 5.00V 4.49V 5.29V
   0.90nS 5.00V 4.49V 5.29V
   1.00nS 5.00V 4.49V 5.29V
   1.10nS 5.00V 4.49V 5.29V
   1.20nS 5.00V 4.49V 5.29V
   1.30nS 5.00V 4.49V 5.29V
   1.40nS 5.00V 4.49V 5.29V
   1.50nS 5.00V 4.49V 5.29V
   1.60nS 5.00V 4.49V 5.29V
   1.70nS 5.00V 4.49V 5.29V
   1.80nS 5.00V 4.49V 5.29V
   1.90nS 5.00V 4.49V 5.29V
   2.00nS 5.00V 4.49V 5.29V
   2.10nS 5.00V 4.49V 5.29V
   2.20nS 5.00V 4.49V 5.29V
   2.30nS 5.00V 4.49V 5.29V
   2.40nS 5.00V 4.49V 5.29V
   2.50nS 5.00V 4.49V 5.29V
   2.60nS 5.00V 4.49V 5.29V
   2.70nS 5.00V 4.49V 5.29V
   2.80nS 5.00V 4.49V 5.29V
   2.90nS 5.00V 4.49V 5.29V
   3.00nS 5.00V 4.49V 5.29V
   3.10nS 5.00V 4.49V 5.29V
   3.20nS 5.00V 4.49V 5.29V
   3.30nS 5.00V 4.49V 5.29V
   3.40nS 5.00V 4.49V 5.29V
   3.50nS 5.00V 4.49V 5.29V
   3.60nS 5.00V 4.49V 5.29V
   3.70nS 5.00V 4.49V 5.29V
   3.80nS 5.00V 4.49V 5.29V
   3.90nS 5.00V 4.49V 5.29V
   4.00nS 5.00V 4.49V 5.29V
   4.10nS 5.00V 4.49V 5.29V
   4.20nS 5.00V 4.49V 5.29V
   4.30nS 5.00V 4.49V 5.29V
   4.40nS 5.00V 4.49V 5.29V
   4.50nS 5.00V 4.49V 5.29V
   4.60nS 5.00V 4.49V 5.29V
   4.70nS 5.00V 4.49V 5.29V
   4.80nS 5.00V 4.49V 5.29V
   4.90nS 5.00V 4.49V 5.29V
   5.00nS 5.00V 4.49V 5.29V
|
| End [Model] tristate_driver
|
|************************************************************************
| Model input
|************************************************************************
|
[Model] input
Model_type Input
C_comp 5.00pF 5.00pF 5.00pF
|
|
[Temperature Range] 25.00 0.12k -40.00
[Voltage Range] 5.00V 3.00V 5.60V
[GND_clamp]
| voltage I(typ) I(min) I(max)
|
  -5.00 -0.61A -0.54A -0.67A
  -4.80 -0.58A -0.52A -0.64A
  -4.60 -0.55A -0.49A -0.60A
  -4.40 -0.52A -0.46A -0.57A
  -4.20 -0.49A -0.43A -0.53A
  -4.00 -0.45A -0.40A -0.50A
  -3.80 -0.42A -0.38A -0.46A
  -3.60 -0.39A -0.35A -0.43A
  -3.40 -0.36A -0.32A -0.39A
  -3.20 -0.33A -0.29A -0.35A
  -3.00 -0.29A -0.26A -0.32A
  -2.80 -0.26A -0.23A -0.28A
  -2.60 -0.23A -0.21A -0.25A
  -2.40 -0.20A -0.18A -0.21A
  -2.20 -0.17A -0.15A -0.18A
  -2.00 -0.13A -0.12A -0.14A
  -1.80 -0.10A -96.63mA -0.11A
  -1.60 -71.89mA -69.72mA -75.01mA
  -1.40 -41.70mA -43.52mA -41.46mA
  -1.20 -13.85mA -19.06mA -10.69mA
  -1.00 -0.26mA -1.91mA -0.18mA
  -0.80 -36.08uA -27.57uA -57.62uA
  -0.60 -1.98uA -1.78uA -2.45uA
  -0.40 -72.18nA -0.17uA -31.47nA
  -0.20 -2.07nA -12.19nA -0.33nA
   0.00 -8.854e-19A -3.129e-17A -1.668e-20A
   0.20 44.28pA 0.62nA 2.94pA
   0.40 44.84pA 0.62nA 3.35pA
   0.60 45.41pA 0.63nA 3.77pA
   0.80 45.97pA 0.63nA 4.18pA
   1.00 46.54pA 0.63nA 4.59pA
   1.20 47.11pA 0.63nA 5.00pA
   1.40 47.68pA 0.64nA 5.42pA
   1.60 48.24pA 0.64nA 5.83pA
   1.80 48.81pA 0.64nA 6.24pA
   2.00 49.38pA 0.64nA 6.65pA
   2.20 49.95pA 0.64nA 7.07pA
   2.40 50.53pA 0.65nA 7.48pA
   2.60 51.10pA 0.65nA 7.89pA
   2.80 51.67pA 0.65nA 8.31pA
   3.00 52.24pA 0.65nA 8.72pA
   3.20 52.82pA 0.65nA 9.13pA
   3.40 53.39pA 0.66nA 9.55pA
   3.60 53.97pA 0.66nA 9.96pA
   3.80 54.54pA 0.66nA 10.37pA
   4.00 55.12pA 0.66nA 10.79pA
   4.20 55.70pA 0.67nA 11.20pA
   4.40 56.27pA 0.67nA 11.61pA
   4.60 56.85pA 0.67nA 12.03pA
   4.80 57.43pA 0.67nA 12.44pA
   5.00 58.01pA 0.67nA 12.85pA
|
[POWER_clamp]
| voltage I(typ) I(min) I(max)
|
  -5.00 72.73pA 0.71nA 24.47pA
  -4.90 72.43pA 0.71nA 24.26pA
  -4.80 72.13pA 0.71nA 24.06pA
  -4.70 71.83pA 0.70nA 23.85pA
  -4.60 71.54pA 0.70nA 23.64pA
  -4.50 71.24pA 0.70nA 23.43pA
  -4.40 70.94pA 0.70nA 23.22pA
  -4.30 70.64pA 0.70nA 23.02pA
  -4.20 70.35pA 0.70nA 22.81pA
  -4.10 70.05pA 0.70nA 22.60pA
  -4.00 69.75pA 0.70nA 22.39pA
  -3.90 69.45pA 0.70nA 22.18pA
  -3.80 69.16pA 0.69nA 21.98pA
  -3.70 68.86pA 0.69nA 21.77pA
  -3.60 68.56pA 0.69nA 21.56pA
  -3.50 68.27pA 0.69nA 21.35pA
  -3.40 67.97pA 0.69nA 21.15pA
  -3.30 67.68pA 0.69nA 20.94pA
  -3.20 67.38pA 0.69nA 20.73pA
  -3.10 67.08pA 0.69nA 20.52pA
  -3.00 66.79pA 0.69nA 20.32pA
  -2.90 66.49pA 0.68nA 20.11pA
  -2.80 66.20pA 0.68nA 19.90pA
  -2.70 65.90pA 0.68nA 19.69pA
  -2.60 65.61pA 0.68nA 19.49pA
  -2.50 65.32pA 0.68nA 19.28pA
  -2.40 65.02pA 0.68nA 19.07pA
  -2.30 64.73pA 0.68nA 18.86pA
  -2.20 64.43pA 0.68nA 18.66pA
  -2.10 64.14pA 0.68nA 18.45pA
  -2.00 63.85pA 0.67nA 18.24pA
  -1.90 63.55pA 0.67nA 18.03pA
  -1.80 63.26pA 0.67nA 17.83pA
  -1.70 62.97pA 0.67nA 17.62pA
  -1.60 62.67pA 0.67nA 17.41pA
  -1.50 62.38pA 0.67nA 17.20pA
  -1.40 62.09pA 0.67nA 17.00pA
  -1.30 61.80pA 0.67nA 16.79pA
  -1.20 61.50pA 0.67nA 16.58pA
  -1.10 61.21pA 0.66nA 16.38pA
  -1.00 60.92pA 0.66nA 16.17pA
  -0.90 60.63pA 0.66nA 15.96pA
  -0.80 60.34pA 0.66nA 15.75pA
  -0.70 60.04pA 0.66nA 15.55pA
  -0.60 59.75pA 0.66nA 15.34pA
  -0.50 59.46pA 0.66nA 15.13pA
  -0.40 59.17pA 0.66nA 14.92pA
  -0.30 58.88pA 0.66nA 14.72pA
  -0.20 58.59pA 0.65nA 14.51pA
  -0.10 58.30pA 0.65nA 14.30pA
   0.00 58.01pA 0.65nA 14.10pA
|
| End [Model] input
|
|************************************************************************
| Model driver
|************************************************************************
|
[Model] driver
Model_type Output
Polarity Non-Inverting
C_comp 5.00pF 5.00pF 5.00pF
|
|
[Temperature Range] 25.00 0.12k -40.00
[Voltage Range] 5.00V 3.00V 5.60V
[Rising Waveform]
R_fixture = 0.50k
V_fixture = 0.000
| time V(typ) V(min) V(max)
|
  0.000S NA NA NA
|
[Falling Waveform]
R_fixture = 0.50k
V_fixture = 5.00
| time V(typ) V(min) V(max)
|
  0.000S NA NA NA
|
| End [Model] driver
|
| End [Component] 25LC040T-I/SN
|
[End]

[IBIS Ver] 2.1
[File rev] 0
[date] June 13, 2003
[Spice type] hspice

[temperature range] 25 125 -40
[voltage range] 5.0 3 5.6
[sim time] 5ns
[vil] 0 0 0
[vih] 5.0 5.0 5.0
[rload] 500

[R_pkg] 56.6m 52.0m 62.6m
[L_pkg] 2.4nH 1.796nH 3.116nH
[C_pkg] 0.55pF 0.466pF 0.676pF

[Component] 25LC040T-I/SN
[manufacturer] MICROCHIP TECHNOLOGY Inc.

[Spice file] sta.net

[Pin]
1 ncs_pad ncs_pad input
2 do_pad do_pad tristate_driver
-> data ena
data data data dummy
ena enable enable dummy
3 nwp_pad nwp_pad input
4 gnd gnd GND
5 di_pad di_pad input
6 clk_pad clk_pad input
7 nhold_pad nhold_pad input
8 vdd vdd POWER

[Model] tristate_driver
[Model type] 3-state
[Polarity] Non-inverting
[Enable] active-high
[Model file] spice.lib spice.lib spice.lib
[Rising waveform] 500 0 NA NA NA NA NA NA NA
[Falling waveform] 500 5 NA NA NA NA NA NA NA

[Model] dummy
[nomodel]

[Model] input
[model type] input
[model file] spice.lib spice.lib spice.lib

[model] driver
[model type] output
[polarity] non-inverting
[model file] spectre.mod spectre.mod spectre.mod
[Rising waveform] 500 0 NA NA NA NA NA NA NA
[Falling waveform] 500 5 NA NA NA NA NA NA NA

.lib '/home/data/library/spice/77k/alpha/skew77k-dlm.l' typical
.model clamp d vj=0.7 rs=100
.param sdwpbase = 3.5u
.param sdwnbase = 3.5u
.param actbias = 0.225u
.param shrink = 1.0
.param sdwp = 'sdwpbase*shrink + actbias'
.param sdwn = 'sdwnbase*shrink + actbias'

.SUBCKT nmos g d s w=4u l=2.5u
M0 d g s gnd EN w=w l=l ad='sdwn*w*shrink+2*sdwn*actbias'
+ as='sdwn*w*shrink+2*sdwn*actbias' pd='2*(sdwn+w*shrink+2*actbias)'
+ ps='2*(sdwn+w*shrink+2*actbias)'
.ENDS nmos

.SUBCKT pmos g d s w=8u l=3u
M0 d g s vdd EP w=w l=l ad='sdwp*w*shrink+2*sdwp*actbias'
+ as='sdwp*w*shrink+2*sdwp*actbias' pd='2*(sdwp+w*shrink+2*actbias)'
+ ps='2*(sdwp+w*shrink+2*actbias)'
.ENDS pmos

.SUBCKT nor2_1x A B Y
XI26 B Y gnd nmos w=4u l=2.5u
XI25 A Y gnd nmos w=4u l=2.5u
XI22 A I22_d vdd pmos w=16u l=3u
XI23 B Y I22_d pmos w=16u l=3u
.ENDS nor2_1x

.SUBCKT nand2_1x A B Y
XI13 B Y vdd pmos w=8u l=3u
XI19 A Y vdd pmos w=8u l=3u
XI14 B I12_s gnd nmos w=8u l=2.5u
XI12 A Y I12_s nmos w=8u l=2.5u
.ENDS nand2_1x

.SUBCKT inv_1x A Y
XI14 A Y vdd pmos w=8u l=3u
XI13 A Y gnd nmos w=4u l=2.5u
.ENDS inv_1x

.SUBCKT nand2 A B Y pw=8u pl=3u nw=8u nl=2.5u
XI19 A Y vdd pmos w=pw l=pl
XI13 B Y vdd pmos w=pw l=pl
XI12 A Y I12_s nmos w=nw l=nl
XI14 B I12_s gnd nmos w=nw l=nl
.ENDS nand2

.SUBCKT tinv A clk nclk Y pw=16u pl=3u nw=8u nl=2.5u
XI40 nclk Y I36_d pmos w=pw l=pl
XI36 A I36_d vdd pmos w=pw l=pl
XI32 A I32_d gnd nmos w=nw l=nl
XI37 clk Y I32_d nmos w=nw l=nl
.ENDS tinv

.SUBCKT tnand2 A B clk nclk Y pw=16u pl=3u nw=12u nl=2.5u
XI6 B I5_s gnd nmos w=nw l=nl
XI5 A I4_s I5_s nmos w=nw l=nl
XI4 clk Y I4_s nmos w=nw l=nl
XI3 nclk Y I1_d pmos w=pw l=pl
XI1 A I1_d vdd pmos w=pw l=pl
XI2 B I1_d vdd pmos w=pw l=pl
.ENDS tnand2

.SUBCKT cmos ng pg d s pw=8u pl=3u nw=4u nl=2.5u
X7 pg d s pmos w=pw l=pl
X6 ng d s nmos w=nw l=nl
.ENDS cmos

.SUBCKT inv A Y pw=8u pl=3u nw=4u nl=2.5u
XI14 A Y vdd pmos w=pw l=pl
XI13 A Y gnd nmos w=nw l=nl
.ENDS inv

.SUBCKT nor2 A B Y pw=16u pl=3u nw=4u nl=2.5u
XI25 A Y gnd nmos w=nw l=nl
XI26 B Y gnd nmos w=nw l=nl
XI23 B Y I22_d pmos w=pw l=pl
XI22 A I22_d vdd pmos w=pw l=pl
.ENDS nor2

.SUBCKT nwmos g d s w=4u l=4u
M2 d g s gnd IN w=w l=l ad='sdwn*w*shrink+2*sdwn*actbias'
+ as='sdwn*w*shrink+2*sdwn*actbias' pd='2*(sdwn+w*shrink+2*actbias)'
+ ps='2*(sdwn+w*shrink+2*actbias)'
.ENDS nwmos

.SUBCKT inv_2x A Y
XI16 A Y vdd pmos w=16u l=3u
XI18 A Y gnd nmos w=8u l=2.5u
.ENDS inv_2x

.SUBCKT inv_4x A Y
XI16 A Y vdd pmos w=32u l=3u
XI18 A Y gnd nmos w=16u l=2.5u
.ENDS inv_4x

.SUBCKT nand4_1x A B C D Y
XI18 A Y vdd pmos w=8u l=3u
XI25 D Y vdd pmos w=8u l=3u
XI27 B Y vdd pmos w=8u l=3u
XI28 C Y vdd pmos w=8u l=3u
XI30 D I20_s gnd nmos w=16u l=2.5u
XI22 B I16_s I20_d nmos w=16u l=2.5u
XI20 C I20_d I20_s nmos w=16u l=2.5u
XI16 A Y I16_s nmos w=16u l=2.5u
.ENDS nand4_1x

.SUBCKT and4_1x A B C D Y
XI0 A B C D I0_Y nand4_1x
XI1 I0_Y Y inv_1x
.ENDS and4_1x

.SUBCKT nor2_2x A B Y
XI25 A I24_s vdd pmos w=32u l=3u
XI24 B Y I24_s pmos w=32u l=3u
XI20 B Y gnd nmos w=8u l=2.5u
XI21 A Y gnd nmos w=8u l=2.5u
.ENDS nor2_2x

.SUBCKT or2_1x A B Y
XI1 I0_Y Y inv_1x
XI0 A B I0_Y nor2_1x
.ENDS or2_1x

.SUBCKT nand4 A B C D Y pw=8u pl=3u nw=16u nl=2.5u
XI28 C Y vdd pmos w=pw l=pl
XI27 B Y vdd pmos w=pw l=pl
XI25 D Y vdd pmos w=pw l=pl
XI18 A Y vdd pmos w=pw l=pl
XI16 A Y I16_s nmos w=nw l=nl
XI20 C I20_d I20_s nmos w=nw l=nl
XI22 B I16_s I20_d nmos w=nw l=nl
XI30 D I20_s gnd nmos w=nw l=nl
.ENDS nand4

.SUBCKT nand3 A B C Y pw=8u pl=3u nw=12u nl=2.5u
XI24 B Y vdd pmos w=pw l=pl
XI18 A Y vdd pmos w=pw l=pl
XI16 C Y vdd pmos w=pw l=pl
XI19 B I19_d I19_s nmos w=nw l=nl
XI21 A Y I19_d nmos w=nw l=nl
XI22 C I19_s gnd nmos w=nw l=nl
.ENDS nand3

.SUBCKT nand2_4x A B Y
XI13 B Y vdd pmos w=32u l=3u
XI19 A Y vdd pmos w=32u l=3u
XI12 A Y I12_s nmos w=32u l=2.5u
XI14 B I12_s gnd nmos w=32u l=2.5u
.ENDS nand2_4x

.SUBCKT or2_4x A B Y
XI1 I0_Y Y inv_4x
XI0 A B I0_Y nor2_1x
.ENDS or2_4x

.SUBCKT nand2_2x A B Y
XI13 B Y vdd pmos w=16u l=3u
XI19 A Y vdd pmos w=16u l=3u
XI12 A Y I12_s nmos w=16u l=2.5u
XI14 B I12_s gnd nmos w=16u l=2.5u
.ENDS nand2_2x

.SUBCKT ipad ipad
XI39 gnd ipad gnd nmos w=100u l=6u
.ENDS ipad

*clamp
*
dena1 enable vdd clamp
dena2 gnd enable clamp
ddata1 data vdd clamp
ddata2 gnd data clamp

XI157 nwp_pad ipad
XI235 nwp_pad vdd I235_Y nand2 pw=6.5u pl=4u nw=20u nl=3.5u
XI169 ncs_pad ipad
XI170 vdd ncs_pad out_ncs nand2 pw=6.5u pl=4u nw=20u nl=3.5u
XI164 clk_pad ipad
XI165 vdd clk_pad I165_Y nand2 pw=6.5u pl=4u nw=20u nl=3.5u
XI83 nhold_pad ipad
XI209 vdd nhold_pad I209_Y nand2 pw=6.5u pl=4u nw=20u nl=3.5u
XI86 di_pad ipad
XI81 vdd di_pad I81_Y nand2 pw=6.5u pl=4u nw=20u nl=3.5u
XI207 I232_Y I185_Y I207_Y nor2_2x
XI185 data I185_Y inv_2x
XI184 I232_Y I184_Y inv_2x
XI177 I185_Y I184_Y I177_Y nand2_2x
XI203 pd do_pad vdd pmos w=80u l=4u
XI199 nd do_pad gnd nmos w=80u l=3.5u
XI194 I207_Y pd inv pw=40u pl=3u nw=8u nl=5u
XI183 I177_Y nd inv pw=9u pl=3u nw=20u nl=2.5u
XI232 enable I232_Y inv_4x

|------------------------------------------------------------------
|For help or to subscribe/unsubscribe, email majordomo@eda.org
|with just the appropriate command message(s) in the body:
|
| help
| subscribe ibis <optional e-mail address, if different>
| subscribe ibis-users <optional e-mail address, if different>
| unsubscribe ibis <optional e-mail address, if different>
| unsubscribe ibis-users <optional e-mail address, if different>
|
|or email a written request to ibis-request@eda.org.
|
|IBIS reflector archives exist under:
|
| http://www.eda.org/pub/ibis/email_archive/ Recent
| http://www.eda.org/pub/ibis/users_archive/ Recent
| http://www.eda.org/pub/ibis/email/ E-mail since 1993
Received on Thu Mar 18 09:55:10 2004

This archive was generated by hypermail 2.1.8 : Thu Mar 18 2004 - 09:56:37 PST